//----------------------------------------------------- // Имя модуля : dff_sync_reset // Имя файла : dff_sync_reset.v // Функц. Назначение : D-триггер с синхронным сбросом. // Программист : www. portal-ed.ru //----------------------------------------------------- module dff_sync_reset ( data , // Вход для данных clk , // Тактовый вход reset , // Вход для сброса q // Выход Q ); //-----------Входные порты--------------- input data, clk, reset ; //-----------Выходные порты--------------- output q; //------------Внутрение переменные-------- reg q; //-------------Начало кода--------- always @ ( posedge clk) if (~reset) begin q <= 1'b0; end else begin q <= data; end endmodule //Конец модуля dff_sync_reset