//----------------------------------------------------- // Имя модуля : rom_using_file // Имя файла : rom_using_file.v // Функц. назначение : Инициализация ROM из файла "memory.list" // Программист : www.portal-ed.ru //----------------------------------------------------- module rom_using_file ( address , // Адресный вход data , // Выход данных read_en , // Разрешение чтения ce // Выбор блока ); input [7:0] address; output [7:0] data; input read_en; input ce; reg [7:0] mem [0:255] ; assign data = (ce && read_en) ? mem[address] : 8'b0; initial begin $readmemb("memory.list", mem); // memory_list – это файл с содержимым // ROM end endmodule