D-триггер «защёлка»

//-----------------------------------------------------
// Имя модуля : dlatch_reset
// Имя файла : dlatch_reset.v
// Функц. Назначение : D-триггер «защёлка» с асинхронным сбросом
// Программист : portal-ed.ru
//-----------------------------------------------------
module dlatch_reset (
data , // Вход для данных
en , // Вход разрешающий загрузку
reset , // Вход для сброса
q // Q-выход
);
//-----------Входные порты---------------
input data, en, reset ;
//-----------Выходные порты---------------
output q;
//------------Внутренние переменные--------
reg q;
//-------------Начало кода---------
always @ ( en or reset or data)
if (~reset) begin
                q <= 1'b0;
               end else if (en) begin
                                      q <= data;
                                      end
endmodule //Конец модуля dlatch_reset

 

 

 ВСПОМНИТЬ КАК РАБОТАЕТ>>>